libosmocore 1.9.0.192-1c24
Osmocom core library
Modules
Here is a list of all modules:
 Bit compression
 soft, unpacked and packed bitsOsmocom bit level support code
 Bit vectorsOsmocom bit vector abstraction utility routines
 Convolutional encoding and decoding routinesOsmocom convolutional encoder and decoder
 Osmocom CRC routinesOsmocom generic CRC routines (for max 16 bits poly)
 General-purpose utility functionsVarious utility routines
 Finite State Machine abstractionFinite State Machine abstraction
 GSMTAPGSMTAP utility routines
 Inter-Thread Queue
 Simple doubly linked list implementation
 Osmocom logging frameworkLibosmocore Logging sub-system
 Osmocom logging internals
 Osmocom ringbuffer-backed loggingThis adds a log which consist of an in-memory ring buffer
 Message buffersLibosmocore message buffers, inspired by Linux kernel skbuff
 Osmocom I/O interfaceOsmo_io is the new (2023) interface for performing asynchronous I/O
 Osmocom primitivesOsmocom Primitives are a method to express inter-layer primitives as used often in ITU/ETSI/3GPP specifications in a generic way
 Rate countersCounters about events and their event rates
 Select loop abstraction
 Seriall Communications (HDLC)Serial communications layer, based on HDLC
 Utility functions to deal with serial portsOsmocom serial port helpers
 Intra-application signalsGeneric signalling/notification infrastructure
 IP address/port utilities.
 Socket convenience functionsOsmocom socket convenience functions
 Statistics value itemThis osmo_stat_item module adds instrumentation capabilities to gather measurement and statistical values in a similar fashion to what we have as osmo_counter_group
 Statistics reportingThis module implements periodic reporting of statistics / counters
 Osmocom ringbuffers for log strings
 Tnnn timer configurationImplementation to define Tnnn timers globally and use for FSM state changes
 Osmocom thread helpers
 Cumulative counter of time as rate counter.Report the cumulative counter of time for which a flag is true as rate counter
 Osmocom timersTimer management:
 Use CounterGeneric object usage counter (get, put and deallocate on zero count)
 Osmocom msgb write queuesWrite queue for writing Message buffers to sockets/fds
 It_qInter-Thread Message Queue
 NetdevNetwork device (interface) convenience functions
 NetnsNetwork namespace convenience functions
 TunTun network device (interface) convenience functions
 GSM A5 ciphering algorithm [external]
 A-bis OML [external]
 GPRS GEA3/GEA4 ciphering algorithm [external]
 Short Message Service (SMS) [external]
 GSM 05.02 / 3GPP TS 45.002 [external]
 GSM 08.08 / 3GPP TS 48.008 A Interface [external]
 3GPP TS 29.205 [external]
 Generic Subscriber Update Protocol [external]
 LAPDm implementation according to GSM TS 04.06 [external]
 Osmocom Authentication Protocol [external]
 3GPP TS 23.032 GAD: Universal Geographical Area Description. [external]
 3GPP TS 48.071 BSS LCS Assistance Protocol (BSSLAP). [external]
 3GPP TS 49.031 BSSMAP-LE. [external]
 GSM RLP (Radio Link Protocol) as used in CSD (3GPP TS 24.022) [external]
 A-bis RSL [external]
 TLV parser [external]
 GSM/GPRS/3G Authentication [external]
 key derivation functions [external]
 Crypto [external]
 Gsm0408 [external]
 Ipa [external]
 LAPD implementation common part [external]
 VTY Command [external]
 Configuration [external]
 Tnnn timer VTY configuration [external]
 Telnet Interface [external]
 VTY (Virtual TTY) interface [external]
 Vector [external]
 Coding [external]
 Interleaving [external]
 Mapping [external]
 Parity [external]
 Tables [external]
 Libgb [external]